Автоматический генератор кода морзе

Иллюстрации

Показать все

Реферат

 

АВТОМАТИЧЕСКИЙ ГЕНЕРАТОР КОДА МОРЗЕ, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки, отличающийс я тем, что, с целью расширения функциональных возможностей за счет генерирования стартстопных и синхронных кодов наряду с кодом Морзе, введены блок установки коэффициента счета , счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор , мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности пауз, первый и второй элементы И и генератор шума , выход которого соединен с тактовым входом счетчика с переменным коэффициентом деления и с тактовым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом деления , выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексора , вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами про (Л граммной матрицы и с входами комбинатора , выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной | установки, причем выход мультиплекСАЭ 00 сора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра памяти соединены с входами распределителя.

СОЮЗ СОВЕТСНИХ

СОЦИАЛИСТИЧЕСКИХ

РЕСПХБЛИН

09) (И) (б1) Н 04 L 15/28

ОПИСАНИЕ ИЗОБРЕТЕНИЯ

H ABTOPCHOMY СВИДЕТЕЛЬСТВУ

ГОСУДАРСТВЕННЫЙ НОМИТЕТ СССР

ПО ДЕЛАМ ИЗОБРЕТЕНИЙ И ОТНРЫТИЙ (21) 3554291/18-09 (22) 18.02.83 (46) 07.08.84 Бюл. 4 29 (72) P.Н.Назаренко и В.М.Данилов (53) 621.394.141(088.8) (56) 1. Авторское свидетельство СССР

М- 253 113, кл. Н 04 L 15/28, 1968.

2. Авторское свидетельство СССР

Ф 310347, кл. G 06 F 1/02, 1970 (прототип). (54)(57) АВТОМАТИЧЕСКИЙ ГЕНЕРАТОР

КОДА МОРЗЕ, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки, отличающийс я тем, что, с целью расширения функциональных возможностей за счет генерирования стартстопных и синхронных кодов наряду с кодом Морзе, введены блок установки коэффициента счета, счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор, мультиплексер, комбинатор, сумматор, счетчик интервалов, комбинатор длительности пауз, первый и второй элементы И и генератор шума, выход которого соединен с тактовым входом счетчика с переменным коэффициентом деления и с тактовым входом программного счетчика, установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счет. чика с переменным коэффициентом деления, выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексора, вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами программной матрицы и с входами комбинатора, выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной установки, причем выход мультиплексора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым вхо. дам программной матрицы, а выходы первого регистра памяти соединены с входами распределителя.

i 107318

Изобретение относится к телеграфной связи и может быть использовано при обучении радиотелеграфистов приему на слух.

Известен электронный датчик кода

Морзе, содержащий включенные соответ. ствующим образом опорный генератор, пусковой датчик, триггеры, генератор тактовых импульсов, управляющий блок, выходной согласующий блок, ре- 10 гистры, датчики "точек" и "тире" и логический блок 13.

Недостатком датчика является сложность конструкции.

Наиболее близким к предлагаемому является автоматический генератор кода Морзе, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, 20 генератор импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки 21. 25

Однако этот генератор не позволяет изменять закон распределения знаков и генерировать стартстопные и синхронные коды.

Цель изобретения — расширение функциональных возможностей за счет генерирования стартстопных и синхронных кодов, наряду с кодом Морзе.

Для достижения поставленной цели в автоматический генератор ко35 да Морзе, содержащий распределитель, выходы которого через коммутатор знаковых ячеек подключены к первым входам программной матрицы, генератор

40 импульсов, выход которого соединен с тактовым входом знакового счетчика, установочные входы которого подключены к выходам блока начальной установки введены блок устновки коэфУ

45 фициента счета, счетчик с переменным коэффициентом деления, программный счетчик, первый и второй регистры памяти, шифратор, мультиплексер, комбинатор сумматор счетчик инЭ У

50 тервалов, комбинатор длительности па,уз, первый и второй элементы И и генератор шума, выход которого соединен с тактовым входом счетчика с пере1 менным коэффициентом деления и с татоквым входом программного счетчика, 55 установочные входы которого подключены к первым выходам блока установки коэффициента счета, вторые выходы которого соединены с установочными входами счетчика с переменным коэффициентом деления, выходы которого соединены с установочными входами первого регистра памяти, стробирующий вход которого подключен к стробирующему входу второго регистра памяти и к выходу первого элемента И, входы которого подключены к выходам знакового счетчика, к первым входам сумматора и к первым входам мультиплексора, вторые входы которого подключены к выходам шифратора, входы которого соединены с выходами программной матрицы и с входами комбинатора, выходы которого соединены с вторыми входами сумматора, выход которого подключен к входу счетчика интервалов, первый выход которого соединен с входом комбинатора длительности пауз, выходы которого подключены к входам блока начальной установки, причем выход мультиплексора соединен с первым входом второго элемента И, второй вход которого подключен к второму выходу счетчика интервалов, выходы программного счетчика соединены с установочными входами второго регистра памяти, выходы которого подключены к вторым входам программной матрицы, а выходы первого регистра памяти соединены с входами распределителя.

На фиг.1 изображена структурная электрическая схема генератора, на фиг.2 — временные диаграммы.

Автоматический генератор кода Морзе содержит генератор 1 шума, счетчик 2 с переменным коэффициентом деления, первый регистр 3 памяти, блок 4 установки коэффициента счета, распределитель 5, коммутатор 6 знаковых ячеек, программную матрицу 7, комбинатор 8, сумматор 9, знаковый счетчик 10, блок 11 начальной установки, генератор 12 импульсов, комбинатор 13 длительности пауз, счетчик 14 интервалов, первый элемент И 15, шифратор 16, мультиплексор 17, программный счетчик 18, второй регистр 19 памяти, второй элемент И 20.

Генератор работает следующим образом.

Генератор 1 шума формирует окрашенный поток импульсов (фиг.2 О ). Полученный сигнал подается на тактовые входы счетчика 2 с переменным коэффициентом деления и программного

3 11073 счетчика 18. Коэффициент счета счет- чика 2 с переменным коэффициентом деления ((Ч ) может изменяться от 1 до 15. Коэффициент счета программно. го счетчика 18 (М) изменяется от 1 до 16. Таким образом, из комбинаций состояний счетчика 2 с переменным коэффициентом деления и программного счетчика 18 (фиг.2а) возможно формировать до 240 различных равно- 10 вероятных комбинаций. Количество знаков азбуки кода Морзе ограничено и равно 40. Коэффициент счета счетчика 2 с переменным коэффициентом деления устанавливается в зависи- 15 мости от объема алфавита с помощью блока 4 установки коэффициента счета путем подачи на установочные входы счетчика 2 с переменным коэффициентом деления соответствующих потек- 20 циалов, формируемых на вторьм выходах блока 4 установки коэффициента счета. Первые выходы блока 4 установки коэффициента счета служат для ограничения коэффициента счета про- . 25 граммного счетчика 18. Первый 3 и второй 11 регистры памяти осуществляют запись и хранение на период формирования знака кодовых комбинаций счетчика 2 с переменным коэффи-30, циентом деления и программного счетчика 18 соответственно. Запись информации в первый 3 и второй 19 регистры памяти осуществляется импульсом записи (фиг.2 Ht ), подаваемым с выхода первого элемента И 15.

Сигнал с выхода первого регистра 3 памяти поступает в параглельном коде на распределитель 5, представляющий собой дешифратор. Распреде- 40 литель 5 имеет четыре входа и пятнадцать выходов. При появлении комбинации на входах распределителя 5 на одном из его выходов появляется сигнал, соответствующий уровню логической "1", на всех остальных— уровню логического О". При ограничении коэффициента счета счетчика 2 с переменным коэффициентом деления ограничивается и количество 50 выходов распределителя 5, которые могут переводиться в состояние логической "1". Сигнал с выходов распреде лителя 5 поступает на коммутатор

6 знаковых ячеек, где механическим способом осуществляется соединение выходов распределителя 5 с соответствующими сигнальными входами

18 4 программной матрицы 7. Таким образом осуществляется изменение объема алфавита. Сигнал с выхода регистра 19 памяти в параллельном коде поступает на, вторые (управляющие) входы программной матрицы 7, представляющей многоканальный мультиплексор, который имеет сорок выходов. При этом изменение закона распределения знаков осуществляется изменением числа формируемых на вторых входах программной матрицы 7 комбинаций путем изменения коэффициентасчета программного счетчика 18 и соответствующей коммутацией первых сигнальных входов программной матрицы 7.-При этом на одном из сорока выходов программной матрицы 7 формируется сигнал разрешения, соответствующий уровню логической "1". Вероятность появления сигнала разрешения на Ь -м выходе в ограниченной выборке зависит от коэффициента счета счетчика 2 с переменным коэффициентом деления, коэффициента счета программного счет . чика 18, коэффициента разветвления выхода коммутатора 6 знаковых ячеек (О ) и может быть определена для равновероятных независимых событий по формуле

А1

Р 1

Появление сигнала разрешения на 1,-м выходе программной матрицы 7 определяет генерируемый знак. На выходе комбинатора 8 и шифратора 16 одновременно поступает сигнал разрешения (фиг.2Ь ). В зависимости .от генерируемого знака на шести выходах комбинатора 8 формируется одна из десяти кодовых комбинаций, соответствующих длительности генерируемых знаков

101010-Е

101100-T,И

101110-А,Н,С

1 1 О О 0 Π— У, М, Д, Р, Х

1 1 0 О 1 0 — 5, В, Г, Б, К, Л, Ф, Ж

1 1 0 1 О 0 — 4, 6, О, 3, Ц, П, Ю, Я (знак)

» О 1 1 Π— 3, 7, Ы, Щ, И, Ч

1 1 1 ΠΠΠ— 2, 8, Ш.

111010-1,9

1 11 100-0

Одновременно на выходах шифратора 16 формируются комбинации 19-разрядного кода, соответствующие форме генерируемых знаков

5 !!073

101110!!101110!1111

А, Р, В, П, И

1110111011101110111

9, 0 Т, М, Н, О, Г, Ш

1010101011111011111

4, 5, Е, И, С, Х

11010101011101011

6, Д, Б

1110111010101010111

7, 3 ! о

11101!1011101010111

8, 4

1010101110111111111

3, Ж

1!0101! !О! ! !О! !11

К, 1 1, Ц

1 О 1 0 1 1 1 О 1 1 0 1 1 1 1 ! 1

2, У, Ф, Ю

1 1 1 О 1 О t 0 1 1 1 0 1 1 1 0 1 1

6 (знак)

1 0 1 1 1 О 1 О 1 1 1 t 1 1 1 1 1 1 1

Л, Я

11 101 ! О !О ! ! 1011 1Ш.

Генератор 12 импульсов работает

25 в. режиме автогенерации и вырабатывает импульсы тактовой частоты, период Т следования которых соответствует длительности элементарных посылок "точек" (фиг. 2 ) . Эти импульсы поступают на вход знакового счетчика

30 t0. Сигнал с выходов разрядов знакового счетчика 10 (фиг.2 ) одновременно поступает на первые входы мультиплексора 17, сумматора 9 и первого элемента И 15. При появлении на вхо- 3g дах первого элемента И 15 кодовой комбинации 100111 на выходе его формируется импульс записи (фиг.2tH ).

При совпадении комбинаций на выходах комбинатора 8 и соответствующих выходах разрядов знакового счетчика 10 на выходе сумматора 9 появляется импульс конца знака (фиг.2е), который является стробирующим и производит

45 установку знакового счетчика 10 в исходное состояние, которое определяет длительность паузы. Например, при паузе между знаками соответствующей трем элементарным посыпкам исходное состояние знакового счетчика 10 будет 100110, для 5 — 100100 (фиг.2g). Таким образом, при изменении длительности пауз между знаками и группами знаков изменяется только начальное состояние знакового счет55 чика 10. Для формирования 5-значпых групп сигнал с выхода сумматора 9 поступает на вход счетчика 14 интер! валов, который служит для подсчета количества генерируемых знаков, Коэффициент счета счетчика !4 интервалов равен 250, причем на первом выходе управляющий сигнал формиру;ется делением стробирующих импуль,сов (фиг.2 е ) с коэффициентом счета равным пяти (фиг.2 ), с уровнем, соответствующим логической "1", а на вторбм выходе с коэффициентом счета равным 250, с уровнем логического

"О". Сигнал с первого выхода счетчика 14 интервалов поступает на вход комбинатора 13 длительности пауз, при этом, например, если уровень сигнала соответствует логическому "О", на выходе комбинатора 13 длительнос ти пауз формируется комбинация 100110 ,(для паузы длительностью в три точки), а при уровне "1" — комбинация ,100100 (для паузы длиной в пять точек). Длительность пауз регулируется раздельно для разлнчньгх уровней управляющего сигнала в пределах от трех до сорока тактовых интервалов.

Мультиплексор 17 предназначен для преобразования параллельного кода на выходе шифратора 16 в последовательный код. На (сигнальные) вторые входы мультиплексора 17 поступает сигнал с выходов шифратора 16. Опрос входов мультиплексора 17 осуществляется знаковым генератором 10 путем формирования на первьгх (управляющих) входах мультиплексора 17 соответствующих кодовых комбинаций, при этом первому входу мультиплексора 17 соответствует комбинация 101001. Выходной сигнал, например, для выбранных знаков А, Р, С (пауза между знаками

3 точки, а между группами 5 точек) поступает на первый вход второго элемента И 20, на второй вход которого подается сигнал с второго выхода счетчика 14 интервалов (фиг.2 и ). При появлении на втором выходе счетчика !4 интервалов сигнала с уровнем логического "О" заканчивается формирование текста.

Таким образом, на выходе устройства формируется импульсный поток сигналов азбуки Морзе с изменяемым объемом алфавита и законом распределения знаков в текстах. Структуру текстов, вероятность появления необходимых знаков в тексте, из "сжатость" и скорость можно вводить практически любые, 1107318 изменяя коэффициенты счета программного счетчИка 18, счетчика 2 с переменным коэффициентом деления и знакового счетчика 10 соответствующей коммута. ции в блоке 4 установки коэффициен- Б та счета, комбинатора 13 длительности пауз, а также изменяя частоту генератора 12 импульсов.

Кроме генерирования кода Морзе генератор может быть использован для генерирования кода Катана и любого синхронного и стартстопного кода с кодовой комбинацией до 19 элементарнык посылок.

Например, для формирования знаков !5 международного телеграфного кода Ф 2 на выходах комбинатора 8 формируется кодовая комбинация 111000. )

Одновременно на выходах шифратора 16 формируется комбинация 19 — раз-20 рядного кода, соответствующая форме генерируемых знаков стартстопного

МТК 2.

0011110000001111111 А

0011000011111111111 Б 25

0011110000111111111 В

00001100111111111!1 Г и т.д.

Для формирования знаков международного телеграфного кода 9 3 (7-значный синхронный код) на выходах комбинатора 8 формируется кодовая комбинация 110000.

Одновременно на выходах шифратора 16 формируется комбинация 19 разрядного кода.

0011010010110011111 А

001 10010101 1001! 11 Б

0100101010110011111 В

1100001010110011111 Г и Tiki

В данном примере первые 7 элементов комбинации соответствуют форме кодовой комбинации 7-элементного кода, вторые 7 элементов — комбинации

"Холостой ход". Это позволяет путем измерения комбинации на выходе комбинатора 8 формировать сигнал двухканальной передачи с временным уплотнением. Для этого кодовая комбинация на выходе комбинатора 8 имеет вид 110110 .

Для генерирования стартстопных и синхронных кодов на выходе комбинатора

13 длительности пауз формируется в любом случае комбинация 101001, !

)07318

@go Заказ 5778/44 Тираж 635 . Подписное фщднал щщ "Патент", г. у кгород, ул.Проектная,4