Способ и устройство обработки нейтральным пучком, основанные на технологии пучка газовых кластерных ионов

Иллюстрации

Показать все

Изобретение относится к области обработки материалов нейтральным пучком Способ обработки поверхности заготовки содержит этапы, на которых обеспечивают камеру пониженного давления; формируют пучок газовых кластерных ионов, содержащий газовые кластерные ионы внутри данной камеры пониженного давления; ускоряют газовые кластерные ионы, чтобы сформировать пучок ускоренных газовых кластерных ионов вдоль траектории пучка внутри камеры пониженного давления; стимулируют фрагментацию и/или диссоциацию, по меньшей мере, части ускоренных газовых кластерных ионов вдоль траектории пучка посредством увеличения интервала скоростей ионов в пучке ускоренных газовых кластерных ионов; удаляют заряженные частицы из траектории пучка, чтобы сформировать ускоренный нейтральный пучок вдоль траектории пучка в камере пониженного давления; удерживают заготовку на траектории пучка; и обрабатывают, по меньшей мере, часть поверхности заготовки путем ее облучения ускоренным нейтральным пучком. Технический результат - формирование высокочистых пучков нейтральных газовых кластеров для обработки деталей. 3 н. и 37 з.п. ф-лы, 21 ил., 1 табл.

Реферат

ОБЛАСТЬ ТЕХНИКИ, К КОТОРОЙ ОТНОСИТСЯ ИЗОБРЕТЕНИЕ

Данное изобретение относится, в общем, к способам и устройствам обработки низкоэнергетическим нейтральным пучком и, более конкретно, к способам и системам с высокочистым пучком для получения ускоренного пучка нейтральных мономеров и/или нейтральных газовых кластеров из ускоренного пучка газовых кластерных ионов. Данное изобретение также включает в себя определенные новые или улучшенные материалы и устройства, изготовленные с помощью данных материалов.

УРОВЕНЬ ТЕХНИКИ

За последнее десятилетие метод пучков газовых кластерных ионов (ПГКИ) стал известен и широко применяется для множества приложений по обработке поверхности и подповерхностного слоя. Так как газовые кластерные ионы обычно имеют большую массу, они имеют склонность двигаться с относительно низкими скоростями (по сравнению с обычными ионами), даже когда ускоряются до значительных энергий. Эти низкие скорости, в объединении с присущей им слабой связью кластеров, дают уникальные возможности обработки поверхности, которые приводят к сниженной глубине проникновения поверхности и сниженному повреждению поверхности по сравнению с обычными ионными пучками и диффузной плазмой.

Пучки газовых кластерных ионов применяют для сглаживания, травления, чистки, образования отложений, выращивания пленок или другого модифицирования широкого множества поверхностей, включая, например, металлы, полупроводники и диэлектрические материалы. В приложениях, включающих полупроводниковые и родственные полупроводникам материалы, ПГКИ применяют для очистки, сглаживания, травления, осаждения и/или выращивания пленок, включая оксиды и другие. ПГКИ также применяют для введения легирующих и деформирующих решетку атомных частиц, материалов для аморфизации поверхностных слоев и улучшения растворимости легирующих добавок в полупроводниковых материалах. Во многих случаях такие применения ПГКИ способны обеспечить лучшие результаты относительно других технологий, которые применяют обычные ионы, ионные пучки и плазму. Полупроводниковые материалы включают в себя широкое множество материалов, электрическими свойствами которых можно управлять путем введения легирующих материалов, и включают (без ограничения) кремний, германий, алмаз, карбид кремния, а также соединения, содержащие элементы III-IV групп и элементы II-VI групп. Вследствие легкости образования ПГКИ при использовании аргона (Ar) в качестве исходного газа и вследствие инертных свойств аргона, было разработано много приложений для обработки поверхностей имплантируемых медицинских устройств, таких как протезы коронарных сосудов, ортопедические протезы и другие имплантируемые медицинские устройства, с использованием ПГКИ с газообразным аргоном. В полупроводниковых приложениях множество исходных газов и смесей исходных газов применяют для формирования ПГКИ, содержащих электрические легирующие добавки и деформирующие решетку частицы, для реактивного травления, физического травления, отложения пленок, роста пленок и других полезных процессов. Известно множество практических систем для введения ПГКИ обработки в широкое множество типов поверхностей. Например, патент США 6676989 С1, выданный Kirkpatrick и др., описывает систему ПГКИ обработки, имеющую держатель образца и манипулятор, подходящие для обработки трубчатых или цилиндрических образцов, таких как сосудистые протезы. В другом примере патент США 6491800 В2, выданный Kirkpatrick и др., описывает систему ПГКИ обработки, имеющую держатели образца и манипуляторы для обработки других типов не плоских медицинских устройств, включая, например, протезы тазобедренных суставов. Другой пример, патент США 6486478 В1, выданный Libby и др., описывает автоматическую систему заряжения/разряжения подложки, подходящую для обработки полупроводниковых пластин. Патент США 7115511, выданный Hautala, описывает применение механического сканера для сканирования образца относительно не сканирующего ПГКИ. В еще одном примере патент США 7105199 В2, выданный Blinn и др., описывает применение ПКГИ обработки для улучшения адгезии лекарственных покрытий на медицинских устройствах и модифицирования скорости вымывания или высвобождения лекарства из медицинских устройств.

Хотя ПГКИ обработку успешно применяют для многих приложений, есть новые и существующие потребности применения, не полностью удовлетворенные ПГКИ или другими имеющимися методами и устройствами. Во многих случаях, хотя ПГКИ может вызывать сильное сглаживание на атомном уровне исходно частично шероховатой поверхности, конечное сглаживание, которое может быть достигнуто, часто меньше, чем требуемая гладкость, а в других случаях ПГКИ обработка может приводить к увеличению шероховатости поверхностей с умеренной гладкостью, а не к их дополнительному сглаживанию.

Также существуют другие потребности/возможности, распознанные и решенные в настоящем изобретении. В области высвобождающих лекарства, медицинских имплантантов, ПГКИ обработка была успешной при обработке поверхностей лекарственных покрытий на медицинских имплантантах, связывая покрытие с подложкой или модифицируя скорость, с которой лекарства вымываются из покрытия после имплантации пациенту. Однако было замечено, что в некоторых случаях, когда ПГКИ использовали для обработки лекарственных покрытий (которые часто очень тонкие и могут содержать очень дорогие лекарства), может происходить потеря массы лекарственного покрытия (указывая на потерю или удаление лекарства) в результате ПГКИ обработки. В особых случаях, когда происходит такая потеря (определенные лекарства и использование определенных параметров обработки), данное явление, в общем, нежелательно, и предпочтительно иметь способ, способный избегать потери массы при сохранении удовлетворительного контроля скорости выделения лекарства.

В полупроводниковых приложениях ПГКИ применяли с разными степенями успеха улучшения обработки поверхности, однако существуют возможности для улучшения. В обычной ПГКИ обработке результат часто хотя и значительно улучшается относительно предыдущих обычных технологий, еще не имеет качества, которое требуется большинством запрашивающих приложений. Например, в способах сглаживания для многих материалов конечная степень гладкости, фактически получаемая с использованием ПГКИ обработки, не всегда удовлетворяет требованиям. В приложениях, где другие материалы вводят в полупроводниковые материалы (иногда называется ПГКИ внедрение) в целях легирования, деформации решетки, и в других приложениях, таких как осаждение пленки, рост пленки и аморфизация, граница раздела между внедренным, выращенным, аморфизованным или осажденным материалом часто имеет шероховатость или неоднородность на границе между облученным слоем и нижележащей подложкой, что ухудшает оптимальные свойства ПГКИ-модифицированного слоя.

Ионы долгое время были предпочтительны для многих способов, так как их электрический заряд облегчает управление ими с помощью электростатических и магнитных полей. Это дает большую гибкость в обработке. Однако в некоторых приложениях заряд, присущий какому-либо иону (включая газовые кластерные ионы в ПГКИ), может вызывать нежелательные эффекты в обработанных поверхностях. ПГКИ имеет определенное преимущество над обычными ионными пучками в том, что газовый кластерный ион с единичным или небольшим множественным зарядом обеспечивает перенос и контроль гораздо большего массового потока (кластер может состоять из сотен или тысяч молекул) по сравнению с обычным ионом (единичный атом, молекула или молекулярный фрагмент). В частности, в случае изолирующих материалов, поверхности, обработанные с использованием ионов, часто страдают от вызванных зарядом повреждений, возникающих от резкого разряда накопленных зарядов, или появления вредных, наведенных электрическим полем напряжений в материале (опять возникающих из накопленных зарядов). Во многих таких случаях ПГКИ имеет преимущество благодаря их относительно низкому заряду на единицу массы, но в некоторых случаях это может не устранить проблему заряжения мишени. Кроме того, ионные пучки с интенсивность тока от умеренной до высокой могут страдать от значительной пространственной расфокусировки пучка, вызванной зарядом, что способствует ухудшению переноса хорошо сфокусированного пучка на длинные расстояния. Опять, из-за их меньшего заряда на единицу массы по сравнению с обычными ионными пучками, ПГКИ имеют преимущество, но они не полностью устраняют проблему переноса пространственного заряда.

Дополнительный пример необходимости или возможности возникает из того факта, что, хотя применение пучков нейтральных молекул или атомов обеспечивает преимущество в некоторых применениях обработки поверхности и в переносе пучков, свободных от объемного заряда, обычно нелегко и неэкономично получать интенсивные пучки нейтральных молекул или атомов кроме случая струй из сопел, где энергии обычно составляют порядка нескольких миллиэлектрон-вольт на атом или молекулу и, таким образом, имеют ограниченные обрабатывающие возможности.

В патенте США 4935623 для Hughes Electronics Corporation, Knauer описывает способ формирования пучков энергичных (1-10 эВ) заряженных и/или нейтральных атомов. Knauer формирует обычный ПГКИ и направляет его под скользящим углом к твердым поверхностям, таким как кремниевые пластины, которые разделяют кластерные ионы с получением прямого рассеянного пучка атомов и обычных ионов. Это приводит к интенсивному, но несфокусированному пучку нейтральных атомов и ионов, который может быть использован для обработки, или последующее электростатическое отделение ионов может быть использовано для получения пучка нейтральных атомов. Необходимость отбрасывания ПКГИ от твердой поверхности для получения диссоциации вызывает значительную проблему в технологии Knauer. В широком интервале энергии пучка ПГКИ вызывает сильное распыление ударяемой поверхности. Было ясно показано (смотри, например, Aoki, T and Matsuo, J, "Molecular dynamics simulation of surface smoothing and sputtering process with glancing-angle gas cluster ion beam", Nucl. Instr. & Meth. in Phys. Research B 257 (2007), pp. 645-648), что даже при скользящих углах, использованных Knauer, ПГКИ вызывает заметное распыление твердых веществ, и, таким образом, прямой рассеянный нейтральный пучок загрязняется распыленными ионами и нейтральными атомами и другими частицами, происходящими из твердой поверхности, использованной для рассеяния/диссоциации. Во множестве приложений, включая применение для обработки медицинских устройств и применение для обработки полупроводников, присутствие такого распыленного материала, загрязняющего прямой рассеянный пучок, делает его непригодным для использования.

В патенте США 7060989 Swenson и др. предлагают использовать газовую ячейку, имеющую давление газа более высокое, чем давление генерации пучка, чтобы модифицировать распределение энергии газовых кластерных ионов в ПГКИ. Данная технология снижает энергию газовых кластерных ионов в ПГКИ и изменяет некоторые параметры обработки поверхности таким модифицированным ПГКИ. Такая газовая модификация распределения энергии газовых кластерных ионов ПГКИ является полезной, но не снижает проблем, вызванных зарядами, осажденными в заготовке ионами в ПГКИ, и не решает определенных проблем обработки, как, например, потеря массы лекарственного покрытия во время ПГКИ обработки. Хотя технология Swenson и др. может улучшать параметры конечного сглаживания поверхности ПГКИ, результат все еще меньше, чем идеальный.

Размеры газовых кластеров и газовых кластерных ионов обычно выражаются в виде N, числа атомов и молекул (в зависимости от того, является ли газ атомарным или молекулярным, включая такие варианты, как ионы, мономеры, димеры, тримеры, лиганды), составляющих отдельный кластер. Считается, что многие преимущества, свойственные обычной ПГКИ обработке, происходят от низких скоростей ионов в ПГКИ и от того факта, большие, слабосвязанные кластеры распадаются при столкновении с твердой поверхностью, вызывая кратковременный нагрев и давление, но без избыточного проникновения, имплантации или повреждения подложки под поверхностью. Воздействия таких больших кластеров (имеющих N мономеров - как определено выше - порядка нескольких тысяч или больше) обычно ограничиваются несколькими десятками ангстрем. Однако было показано, что меньшие кластеры (имеющие N порядка от нескольких сотен приблизительно до тысячи) вызывают большее повреждение ударяемой поверхности и способны создавать отдельные ударные кратеры на поверхности (смотри, например, Houzumi, H., et al. "Scanning tunneling microscopy observation of graphite surfaces irradiated with size-selected Ar cluster ion beams", Jpn. J. Appl. Phys. V44(8), (2005), p 6252 ff). Этот эффект образования кратеров может вызывать шероховатость и удалять материал с поверхностей (травить) в нежелательном соревновании с эффектами сглаживания поверхности большими кластерами. Во многих других приложениях обработки поверхности, для которых обнаружили полезность ПГКИ, считается, что воздействия больших газовых кластерных ионов и меньших газовых кластерных ионов могут соревноваться противоположным образом, снижая параметры обработки. К сожалению, все легко применимые технологии формирования ПГКИ приводят к генерации пучков, имеющих широкое распределение размеров кластеров, имеющих размер N в диапазоне от приблизительно 100 до нескольких десятков тысяч. Часто середина и/или пик распределения размера лежит в интервале от нескольких сотен до нескольких тысяч, с хвостом распределения, постепенно снижающимся до нуля на краях распределения. Распределение размера кластерных ионов и средний размер кластера NMean, связанный с распределением, зависят от применяемого исходного газа и могут существенно зависеть от выбора параметров сопла, применяемого для формирования кластерной струи, перепада давления по соплу и температуры сопла, все согласно обычным технологиям образования ПГКИ. Большинство коммерческих инструментов ПГКИ обработки обычным образом использует магнитные или, иногда, электростатические разделители размера, чтобы удалять самые маленькие ионы и кластеры (мономеры, димеры, тримеры и др. вплоть до N=10 или больше), которые являются наиболее вредными. Такие фильтры часто называют "фильтрами мономеров", хотя обычно они также удаляют немного большие ионы, как и мономеры. Определенные электростатические делители размера кластерных ионов (как, например, делитель, используемый в патенте США 4935623, выданном Knauer) требуют помещения решеток электрических проводников в пучок, что вызывает сильное неудобство из-за потенциальной эрозии данных решеток пучком, вызывающей загрязнение пучка при снижении надежности и приводящей к необходимости дополнительного обслуживания данного устройства. По этой причине, фильтры мономеров и низких масс сейчас обычно являются фильтрами магнитного типа (смотри, например, патент США 6635883 для Torti et al. и патент США 6486478 для Libby et al.). Помимо самых маленьких ионов (мономеров, димеров и др.), которые эффективно удаляются магнитными фильтрами, оказывается, что большинство ПГКИ содержат мало или не содержат газовые кластерные ионы размерами ниже приблизительно N=100. Возможно такие размеры трудно формировать или после образования они нестабильны. Однако кластеры в интервале от N=100 до нескольких сотен, по-видимому, присутствуют в пучках большинства коммерческих устройств ПГКИ обработки. Обычно встречаются величины NMean в интервале от нескольких сотен до нескольких тысяч при использовании обычных технологий. Так как для заданного ускоряющего потенциала кластеры промежуточного размера перемещаются гораздо быстрее, чем большие кластеры, они наиболее вероятно вызывают кратеры, грубые границы раздела и другие нежелательные эффекты, и вероятно способствуют менее идеальной обработке, когда присутствуют в ПГКИ.

Следовательно, целью данного изобретения является обеспечить устройство и способы для формирования высокочистых пучков нейтральных газовых кластеров для обработки деталей.

Дополнительной целью данного изобретения является обеспечить устройство и способы для получения высокочистых пучков газовых кластеров, которые, по существу, свободны от кластеров промежуточного размера.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования высокочистых фокусированных интенсивных пучков нейтральных атомов и молекул с энергиями в интервале от приблизительно 1 эВ вплоть до нескольких тысяч эВ.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования пучков, способных к улучшенному сглаживанию поверхности по сравнению с обычными ПГКИ.

Целью данного изобретения является обеспечить устройство и способы для формирования легированных и/или деформированных пленок и/или для введения посторонних атомных частиц в поверхности полупроводников или других материалов, где обработанные поверхности имеют границы раздела с нижележащим материалом подложки, которые превосходят границы раздела, образованные с использованием обычной ПГКИ обработки.

Другой целью данного изобретения является обеспечить устройство и способы для формирования аморфных областей на поверхности полупроводников или других материалов, используя нейтральный пучок, где граница раздела с нижележащим материалом подложки превосходит границу раздела, образованную с использованием обычной ПГКИ обработки.

Дополнительной целью данного изобретения является обеспечить устройство и способы для травления поверхностей с прекрасной конечной гладкостью по сравнению с обычной ПГКИ обработкой.

Еще одной целью данного изобретения является обеспечить устройство и способы для формирования и/или роста пленок на поверхностях полупроводников и/или других материалов, имеющих границы раздела с нижележащим материалом подложки, которые превосходят границы раздела, образованные с использованием обычной ПГКИ обработки.

Другой целью данного изобретения является обеспечить устройство и способы для обработки лекарственных покрытий на вымывающих лекарства медицинских устройствах, чтобы регулировать параметры скорости вымывания лекарства с пониженной потерей массы лекарства по сравнению с обработкой обычными ПГКИ.

Дополнительной целью данного изобретения является обеспечить устройство и способы для обработки электроизолирующих материалов нейтральными пучками газовых кластеров и/или мономеров для обработки таких материалов без повреждения, вызываемого пучком, переносящим электрические заряды.

Еще одной целью данного изобретения является обеспечить устройство и способы для получения мелко легированных слоев в полупроводниковых подложках путем имплантации высокочистых пучков нейтральных мономеров, содержащих легирующие атомы, где данные легированные слои имеют гораздо более гладкие границы раздела с нижележащим полупроводниковым материалом по сравнению с границами раздела, получаемыми с помощью обычных способов ПГКИ легирования.

РАСКРЫТИЕ ИЗОБРЕТЕНИЯ

Установленные выше цели, а также дополнительные и другие цели и преимущества настоящего изобретения достигаются описанным ниже изобретением.

Один вариант осуществления настоящего изобретения обеспечивает способ обработки поверхности заготовки, содержащий этапы: обеспечения камеры пониженного давления; формирования пучка газовых кластерных ионов, содержащего газовые кластерные ионы внутри данной камеры пониженного давления; ускорения газовых кластерных ионов с формированием пучка ускоренных газовых кластерных ионов вдоль траектории пучка внутри камеры пониженного давления; стимуляции фрагментации и/или диссоциации, по меньшей мере, части ускоренных газовых кластерных ионов вдоль данной траектории пучка; удаления заряженных частиц из данной траектории пучка с формированием ускоренного нейтрального пучка вдоль траектории пучка внутри камеры пониженного давления; удерживания заготовки в траектории пучка; и обработки, по меньшей мере, части поверхности данной заготовки путем ее облучения ускоренным нейтральным пучком.

Этап удаления может удалять, по существу, все заряженные частицы из траектории пучка. Нейтральный пучок может быть, по существу, свободным от кластеров промежуточного размера. Данный нейтральный пучок может состоять, по существу, из газа от пучка газовых кластерных ионов. Этап стимуляции может включать в себя повышение ускоряющего напряжения на этапе ускорения или улучшение эффективности ионизации при формировании пучка газовых кластерных ионов. Этап стимуляции может включать в себя увеличение интервала скоростей ионов в ускоренном пучке газовых кластерных ионов. Этап стимуляции может включать в себя введение одного или нескольких газообразных элементов, используемых при формировании пучка газовых кластерных ионов, в камеру пониженного давления, чтобы увеличить давление вдоль траектории пучка. Этап стимуляции может включать в себя увеличение размера диафрагмы отсекателя, используемой на этапе формирования пучка газовых кластерных ионов. Этап стимуляции может включать в себя облучение пучка ускоренных газовых кластерных ионов или нейтрального пучка лучистой энергией. Нейтральный пучок, обрабатывающий, по меньшей мере, часть поверхности заготовки, может содержать значительное число мономеров, имеющих энергию от 1 эВ до нескольких тысяч эВ. Данный способ может дополнительно содержать этап перестановки заготовки в держателе заготовки, чтобы обрабатывать множество частей поверхности. Данный способ может дополнительно содержать этап сканирования заготовки в держателе заготовки, чтобы обрабатывать протяженные участки поверхности. Этап облучения может облучать заготовку заданной дозой. Этап удерживания может вводить заготовку, которая содержит любое из: медицинского устройства или компонента; медицинского инструмента; медицинского устройства или компонента, имеющего лекарственное покрытие; вымывающего лекарство медицинского устройства или компонента; полупроводникового материала; металлического материала; пластикового материала; керамического материала; электрического устройства; оптического устройства; устройства с интегральной микросхемой; электроизолирующего материала; материала с высоким электрическим сопротивлением; или микроэлектрического механического системного устройства.

Этап удаления может отклонять заряженные частицы с траектории пучка, используя электрическое или магнитное поле. Этап удаления может отклонять заряженные частицы с траектории пучка, используя отклоняющую пластину или электростатическое зеркало. Этап удаления может использовать напряжение смещения, чтобы отклонять заряженные частицы с траектории пучка, и может дополнительно содержать этапы измерения тока пучка в месте заготовки при неработающем напряжении смещения и регулировки напряжения смещения до достижения нулевого измеренного тока пучка.

Данный способ может дополнительно содержать этапы: обеспечения датчика тепловой энергии; и применения датчика тепловой энергии, чтобы характеризовать ускоренный нейтральный пучок. Характеризация пучка датчиком тепловой энергии может быть использована, чтобы контролировать дозу облучения.

Другой вариант осуществления настоящего изобретения обеспечивает устройство для получения ускоренного нейтрального пучка, содержащее: вакуумную камеру; средство генерации пучка газовых кластерных ионов внутри вакуумной камеры; средство ускорения пучка газовых кластерных ионов для формирования пучка ускоренных газовых кластерных ионов вдоль траектории пучка; средство стимуляции фрагментации или, по меньшей мере, частичной диссоциации газовых кластеров в пучке ускоренных газовых кластерных ионов с получением ускоренных нейтральных газовых кластеров и/или газовых мономеров; и средство удаления заряженных частиц с траектории пучка с формированием ускоренного нейтрального пучка вдоль траектории пучка, где нейтральный пучок содержит ускоренные нейтральные газовые кластеры и/или ускоренные нейтральные газовые мономеры.

Данное устройство может дополнительно содержать средство удерживания заготовки для перестановки заготовки, чтобы облучать множество частей поверхности заготовки ускоренным нейтральным пучком. Данное средство удерживания заготовки может дополнительно содержать средство сканирования для сканирования заготовки сквозь траекторию пучка, чтобы облучать увеличенную часть поверхности заготовки ускоренным нейтральным пучком.

Средство удаления может удалять заряженные частицы, используя электрическое поле или магнитное поле, чтобы отклонять заряженные частицы. Ускоренный нейтральный пучок может состоять, по существу, из нейтральных газовых мономеров. Средство генерации пучка газовых кластерных ионов может содержать средство ионизации газовых кластеров путем электронной бомбардировки, а средство стимуляции может содержать средство управления данным средством ионизации, чтобы обеспечивать условия интенсивной ионизации, что создает высокую долю многократно ионизованных газовых кластеров в пучке газовых кластерных ионов. Средство стимуляции может содержать любое из: средства обеспечения фонового газа для столкновения с пучком газовых кластерных ионов; средства нагрева ускоренного пучка газовых кластерных ионов; средства облучения ускоренного пучка газовых кластерных ионов; средства для пересечения пучка газовых кластерных ионов с газовой струей; или средства для возбуждения пучка газовых кластерных ионов электронами. Средство стимуляции может стимулировать эволюцию нейтральных мономеров из ускоренных газовых кластерных ионов.

Данное устройство может дополнительно содержать средство для удерживания заготовки и ее размещения в траектории пучка так, чтобы облучать, по меньшей мере, часть поверхности заготовки ускоренным нейтральным пучком. Средство для удерживания заготовки может быть приспособлено для удерживания заготовки, которая может представлять собой любую из: заготовки полупроводниковой пластины; заготовки медицинского устройства или компонента; заготовки медицинского устройства или компонента, имеющего лекарственное покрытие; заготовки медицинского инструмента или компонента; электроизолирующей заготовки; заготовки материала с высоким электрическим сопротивлением; металлической заготовки; пластиковой заготовки; керамической заготовки; заготовки оптического устройства; заготовки электрического устройства; или заготовки микроэлектрической механической системы.

Данное устройство может дополнительно содержать средство для регулировки дозиметрии нейтрального пучка. Средство регулировки дозиметрии нейтрального пучка может содержать средство термического измерения для измерения нагрева, вызываемого пучком. Средство регулировки дозиметрии нейтрального пучка может содержать средство захвата и измерения электрического тока в, по меньшей мере, образце заряженных частиц, удаляемых из пучка. Средство термического измерения может быть приспособлено измерять вызываемый пучком нагрев вдоль траектории пучка.

Еще один вариант осуществления настоящего изобретения обеспечивает изделие, имеющее участок, улучшенный путем облучения ускоренным нейтральным пучком, полученным из ускоренного пучка газовых кластерных ионов. Данный участок, улучшенный путем облучения, может быть очищенным, сглаженным, стерилизованным, шлифованным, травленным, имплантированным, легированным, модифицированным, сделанным более или менее смачиваемым, сделанным более или менее биологически активным или совместимым путем облучения. Данное изделие может содержать любое из: медицинского устройства или компонента; биологического материала; медицинского инструмента; медицинского устройства или компонента, имеющего лекарственное покрытие; медицинского устройства или компонента, выделяющего лекарство; полупроводникового материала; металлического материала; пластикового материала; керамического материала; электрического устройства; оптического устройства; устройства с интегральной микросхемой; электроизолирующего материала; материала с высоким электрическим сопротивлением; или микроэлектрического механического системного устройства. Данное изделие может дополнительно содержать полупроводниковый материал, имеющий слой, образованный на полупроводниковом материале, и границу раздела, расположенную между ними, где данная граница раздела имеет гладкость атомарного уровня, где данный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данное изделие может дополнительно содержать монокристаллический полупроводниковый материал, имеющий аморфный поверхностный слой и границу раздела, расположенную между монокристаллическим полупроводниковым материалом и аморфным слоем, где данная граница раздела имеет гладкость атомарного уровня, где данный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данное изделие может дополнительно содержать подложку и углеродный слой, осажденный прямо на подложке, где углеродный слой сформирован путем облучения нейтральным пучком, образованным из газовых мономеров, полученным из пучка газовых кластерных ионов. Данный углеродный слой может быть алмазоподобным углеродом.

Настоящее изобретение обеспечивает способ с пучком высокой чистоты и систему для получения из ускоренного пучка газовых кластерных ионов пучка ускоренных нейтральных газовых кластеров и/или предпочтительно мономеров, которые могут применяться для множества типов обработки поверхностей и мелких подповерхностных слоев материалов, которые способны обеспечивать, для множества приложений, прекрасные свойства по сравнению с обычной ПГКИ обработкой. Оно может обеспечивать хорошо фокусированные ускоренные интенсивные пучки нейтральных мономеров с частицами, имеющими энергии в интервале от приблизительно 1 эВ вплоть до нескольких тысяч эВ. В этом интервале энергий нейтральные частицы могут быть выгодными или необходимыми во многих приложениях, например, когда желательно разрушать связи поверхности или мелкого подповерхностного слоя, чтобы облегчить очистку, травление, сглаживание, осаждение, аморфизацию или производить эффекты химии поверхности. В таких случаях часто могут быть полезны энергии от приблизительно одного эВ до нескольких тысяч эВ на частицу. Это интервал энергий, в котором было нереально формировать интенсивные нейтральные пучки с помощью простого, относительно недорогого устройства. В различных вариантах осуществления ускоренный нейтральный пучок применяют для разных обработок поверхности и мелких подповерхностных слоев материалов, и получают улучшенные материалы и устройства с помощью таких способов обработки.

Эти ускоренные нейтральные пучки генерируют сначала путем формирования обычных ускоренных ПГКИ, затем частично или, по существу, полностью вызывают их диссоциацию с помощью способов и рабочих условий, которые не вводят примеси в пучок, затем отделяют оставшиеся заряженные части пучка от нейтральной части, и потом используют полученный ускоренный нейтральный пучок для обработки заготовки. В зависимости от степени диссоциации газовых кластерных ионов, полученный нейтральный пучок может представлять собой смесь нейтральных газовых мономеров и газовых кластеров, или может, по существу, состоять полностью или почти полностью из нейтральных газовых мономеров. Предпочтительно, когда ускоренный нейтральный пучок представляет собой пучок, по существу, полностью диссоциированных нейтральных мономеров.

Преимущество нейтральных пучков, которые могут быть получены с помощью способов и устройства данного изобретения, заключает в том, что их можно использовать для обработки электроизолирующих материалов без повреждения материала из-за заряжения поверхностей таких материалов пучком, переносящим заряды, как обычно происходит для всех ионизированных пучков, включая ПГКИ. Например, в полупроводниковых и других электронных приложениях, ионы часто способствуют повреждению или деструктивному заряжению тонких диэлектрических пленок, таких как оксиды, нитриды и др. Применение нейтральных пучков может позволять успешную обработку пучками полимерных, диэлектрических и/или других электроизолирующих материалов или материалов с высоким сопротивлением, а также покрытий и пленок в других приложениях, где ионные пучки могут вызывать неприемлемые побочные эффекты из-за заряжения поверхности или других эффектов заряжения. Примеры включают (без ограничения) получение ингибирующих коррозию покрытий и сшивание облучением и/или полимеризацию органических пленок. В других примерах нейтральный пучок, вызывающий модификации полимерных или других диэлектрических материалов (например, стерилизацию, сглаживание, улучшение биосовместимости поверхности и улучшение прикрепления и/или регулирования скоростей вымывания лекарств), может позволять использовать такие материалы в медицинских устройствах для имплантации и/или других медицинских/хирургических приложений. Дополнительные примеры включают в себя обработку нейтральным пучком поверхностей стеклянного, полимерного и керамического лабораторного оборудования для биокультур и/или отбора образцов окружающей среды, где такие пучки могут быть использованы, чтобы улучшать свойства поверхности, такие как, например, шероховатость, гладкость, гидрофильность и биосовместимость.

Так как исходные ПГКИ, из которых могут быть образованы ускоренные нейтральные пучки с помощью способов и устройства данного изобретения, содержат ионы, их легко ускорять до желаемой энергии и легко фокусировать, используя обычные технологии ионных пучков. После последующей диссоциации и отделения заряженных ионов от нейтральных частиц, частицы нейтральных пучков имеют тенденцию сохранять свои фокусированные траектории и могут переноситься на большие расстояния с хорошим эффектом.

Когда нейтральные газовые кластеры в струе ионизируют электронной бомбардировкой, они нагреваются и/или возбуждаются. Это может приводить к последующему испарению мономеров из ионизированных газовых кластеров, после ускорения, когда они проходят далее по траектории пучка. Кроме того, столкновения газовых кластерных ионов с молекулами фонового газа в областях ионизатора, ускорителя и траектории пучка также нагревают и возбуждают газовые кластерные ионы и могут приводить к дополнительному последующему выделению мономеров из газовых кластерных ионов после ускорения. Когда эти механизмы выделения мономеров вызываются электронной бомбардировкой и/или столкновениями с молекулами фонового газа (и/или другими газовыми кластерами) того же газа, из которого формировали ПГКИ, не возникает никакого загрязнения пучка за счет процессов диссоциации, которые приводят к выделению мономеров.

Есть другие механизмы, которые могут быть использованы для диссоциации газовых кластерных ионов (или инициации выделения мономеров из них) в ПГКИ без ввода загрязнений в пучок. Некоторые из этих механизмов также могут применяться для диссоциации нейтральных газовых кластеров в пучке нейтральных газовых кластеров. Один механизм представляет собой лазерное облучение пучка кластерных ионов с использованием энергии инфракрасного или другого лазера. Вызываемый лазером нагрев газовых кластерных ионов в облученном лазером ПГКИ приводит к возбуждению и/или нагреву газовых кластерных ионов и вызывает последующее выделение мономеров из пучка. Другим механизмом является прохождение пучка через термически нагретую трубу, так что фотоны лучистой тепловой энергии воздействуют на газовые кластерные ионы в пучке. Нагрев газовых кластерных ионов, вызванный лучистой тепловой энергией в трубе, приводит к возбуждению и/или нагреву газовых кластерных ионов и вызывает последующее выделение мономеров из пучка. В другом механизме, пересечение пучк